ALL; entity johnson_counter is port (     DAT_O : out unsigned(3 downto 0);     RST_I : in std_logic;     CLK_I : in std_logic     ); end johnson_counter; architecture Behavioral of johnson_counter is argue temporary : unsigned(3 downto 0):=(others => 0); begin DAT_O <= temp; sue(CLK_I) begin   if( rising_edge(CLK_I) ) indeed     if (RST_I = 1) then       temp <= (others => 0);     else       temp(1) <= temp(0);       temp(2) <= temp(1);       temp(3) <= temp(2);       temp(0) <= not temp(3);     end if;   end if; end mental process;   end Behavioral; The testbench code used for testing the fancy is given below: LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY tb2 IS final stage tb2; ARCHITECTURE behavior OF tb2 IS   --Inputs   signal RST_I : std_log! ic := 0;   signal CLK_I : std_logic := 0;   --Outputs   signal DAT_O : unsigned(3 downto 0);   -- measure period definitions   constant CLK_I_period : time := 1 ns; BEGIN   -- represent the unit Under Test (UUT)   uut: entity work.johnson_counter PORT MAP (      DAT_O => DAT_O,      RST_I => RST_I,      CLK_I => CLK_I     );   -- Clock...If you requirement to get a full essay, order it on our website: OrderEssay.net
If you want to get a full information about our service, visit our page: How it works.
No comments:
Post a Comment